基于FPGA的智能信号发生器的设计开题报告

 2021-08-14 02:38:21

1. 研究目的与意义(文献综述)

随着现在工业和技术的不断提高,传统的分离元件式模拟信号发生器频率稳定性低、可靠性差,已经不能满足实际应用的需要,所以就必须有频率稳定度性、精确度更高的信号发生器解决这个问题。为了避免传统通信信号发生器的信号发生技术带来的诸多不便,同时随着数字信号处理和集成电路技术的发展,直接数字频率合成技术(dds)被广泛的应用到信号发生器的发生和制作当中。在传统的模拟调制系统实现中,大多数是采用模拟乘法器加滤波器的方法来实现[9],这样就造成了精度低、可控性差、抗干扰能力弱的特点。虽然,现有的一些主流商用数字频率合成也提供某些模拟调制的功能,但是,这种专用数字频率合成芯片把所有功能集中在一块芯片上,必然导致可控性不够灵活,而且性能会受到影响。这时如果能充分利用现场可编程门阵列(fpga)的可重复编程性[6]、资源的丰富性以及高速等性能,利用数字频率合成技术,可以实现一个高灵活性的、高可控性的模拟调制系统。能产生专用数字频率合成芯片所具备的单频连续波、非连续波、各种形式的线性调频信号。

本研究的目的和意义在于以下几方面:1)对fpga试验箱有所了解掌握实验箱上的各个模块的功能;2)掌握a/d和d/a电路设计、显示和键盘电路设计,学会用vhdl语言编程,掌握vhdl语言的语法和结构使用[3];3)过本设计可以加强自己对vhdl语言、分频器、相位累加器、da转换、低通滤波器等许多知识的认识;4)设计的现实意义在于其具有频率转换快、分辨率高、频率合成范围宽、相位噪声低的优点;5)由于fpga集密度高,功耗低,体积小以及可靠性高等优点于一身,所以采用fpga实现数字信号发生器的设计,该数字信号发生器能够产生可调频率的正弦波、方波、三角波和锯齿波。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

2. 研究的基本内容与方案

本次研究的主要内容是:在fpga实验平台上采用vhdl硬件描述语言设计一台智能信号发生器,内容包含a/d和d/a电路设计、显示和键盘电路设计;要求设计实现的信号发生器输出信号类型包括方波、矩形波、三角波和正弦波,输出方波的频率范围1hz~1mhz,正弦波、三角波频率范围10hz~100khz,输出信号波形没有明显失真。

初步拟定实现此功能的方法为可编程逻辑门阵列fpga实现直接数字频率合成(dds)。重点掌握dds技术在fpga中的实现方法,系统硬件电路设计主要包括:fpga单元、d\a转换电路、滤波电路、键盘和显示电路等[9]。软件设计主要包括信号产生模块、频率控制模块、波形选择模块等。然后在进行系统调试。fpga函数信号发生器用直接数字频率合成技术,使之具有以下优点:1)频率切换速度快;2)输出相位噪声低;3)可以产生任意波形;4)全数字化实现,便于集成,体积小,重量轻;5)灵活的接口和控制方式;6)比专用芯片功耗也低[8]。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

3. 研究计划与安排

第1~3周:查阅相关文献资料;在明确设计内容的基础上撰写并提交开题报告;

第4~6周:学习并掌握vhdl相关知识和基于fpga的设计技能,确定系统整体实现方案;

第7~12周:完成系统涉及的硬件电路与软件设计;

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

4. 参考文献(12篇以上)

[1]李君华.基于fpga的信号发生器[j].数字技术与应用,2015(1):78-79

[2]姚琴琴,谢锐,崔丽丽.基于fpga的信号源的设计[j].电子科学技术,2015(03):278-281

[3]孔德鹏,毛惠丰,姬占涛.一种基于fpga的信号发生器研究与设计[j].微处理机,2015(1):1-4

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

课题毕业论文、开题报告、任务书、外文翻译、程序设计、图纸设计等资料可联系客服协助查找。